blob: e3d26965c204cd8b46e30c3a916bac925520c64e [file] [log] [blame]
Tests that invalid values are considered in-range.
On success, you will see a series of "PASS" messages, followed by "TEST COMPLETE".
PASS document.querySelector("input[type=number]:in-range").id is "number1"
PASS document.querySelector("input[type=range]:in-range").id is "range1"
PASS document.querySelectorAll(":in-range").length is 2
PASS successfullyParsed is true
TEST COMPLETE