blob: c04d9666eedf4725f6294ca4c3c590677eff5cf3 [file] [log] [blame]
Tests that invalid values are considered in-range.
On success, you will see a series of "PASS" messages, followed by "TEST COMPLETE".
PASS document.querySelector("input[type=number]:in-range").id is "number1"
PASS document.querySelector("input[type=range]:in-range").id is "range1"
PASS document.querySelectorAll(":in-range").length is 2
PASS successfullyParsed is true
TEST COMPLETE